首页 | 本学科首页   官方微博 | 高级检索  
文章检索
  按 检索   检索词:      
出版年份:   被引次数:   他引次数: 提示:输入*表示无穷大
  收费全文   56篇
  免费   0篇
公路运输   5篇
综合类   6篇
水路运输   25篇
铁路运输   20篇
  2015年   2篇
  2014年   2篇
  2012年   4篇
  2011年   2篇
  2010年   4篇
  2009年   8篇
  2008年   4篇
  2007年   7篇
  2006年   9篇
  2005年   6篇
  2004年   4篇
  2003年   2篇
  2002年   1篇
  2000年   1篇
排序方式: 共有56条查询结果,搜索用时 31 毫秒
51.
万华庆  潘艳 《船电技术》2005,25(1):47-50
介绍了以TI公司的DSP芯片TMS320C32为核心处理器,Actel公司的CPLD芯片A54SX32/08为协处理器的通用型模糊控制器.介绍了控制器硬件原理电路,以及采用C语言、汇编语言、VHDL和FuzzyTECH工具设计控制程序的方法.  相似文献   
52.
基于FPGA/CPLD的高速和低速UART的设计及其应用   总被引:4,自引:0,他引:4  
利用计算机软件技术(EDA技术)和FPGA/CPLD的灵活性可以方便快速地设计高速和低速的UART.高速的UART可以用在光纤通信上,低速的UART可以用在FPGA/CPLD和单片机的通信上.设计中包含UART的发送模块、接收模块和波特率发生器,所有功能的实现全部采用VHDL硬件描述语言来进行描述.设计、综合、仿真在QUARTUS Ⅱ软件开发环境下实现.  相似文献   
53.
基于CPLD的高压共轨柴油机电磁阀驱动系统设计   总被引:1,自引:0,他引:1  
优化了高压共轨柴油机电磁阀驱动策略,设计了基于复杂可编程逻辑器件(CPLD)的高压共轨电磁阀喷油驱动系统。采用图形与硬件描述语言(HDL)混合设计方式,对CPLD进行模块化编程,实现了对电磁阀的电流驱动控制。该系统集成度高,灵活性强,响应速度快,将电磁阀驱动开关的PWM调制频率降至50 kHz以内,提高了驱动性能和能源利用率,降低了系统功耗,并具有较强的稳定性。  相似文献   
54.
针对单片机及数字电路实践教学中的弊端,介绍了以CPLD为核心的实验设备及其开发工具,重点从实验所需时间、实验效果、经济性等方面对新旧设备及实验方法的优劣进行了比较,并对该设备的应用进行了举例.  相似文献   
55.
PID自动舵组件自动测试系统研究   总被引:2,自引:0,他引:2  
本文介绍了PID自动舵组件自动测试系统的技术实现.该系统运用了现代技术设计理念,采用触摸屏、PLC及CPLD,实现了PID自动舵组件的自动测试、故障诊断以及测试波形和结果的显示.  相似文献   
56.
McBSP是TI公司生产的数字信号处理芯片的多通道缓冲串行口,能以较少的引脚实现较强的数据传输功能,目前已经成为一种实现串行数据处理的重要手段。针对仅需要McBSP功能的数字系统,提出一种利用可编程逻辑器件CPLD模拟McBSP的方法,采用VHDL语言设计实现,给出了设计源代码,完成了McBSP的基本功能,并进行了数据的自循环测试。  相似文献   
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号