首页 | 本学科首页   官方微博 | 高级检索  
     检索      

基于VHDL的GOLD序列发生器的设计与实现
引用本文:冀勇钢,车仁信,李冲.基于VHDL的GOLD序列发生器的设计与实现[J].大连铁道学院学报,2009(3).
作者姓名:冀勇钢  车仁信  李冲
作者单位:大连交通大学信息工程学院工学部;大连交通大学电气信息学院;
摘    要:在分析m序列优选对的基础上,利用有限域法寻找一对优选对序列,采用VHDL语言实现一平衡GOLD序列,构造GOLG序列发生器.给出了流程图及仿真波形;通过EP1C3T144C-8芯片得到了较理想的测试波形,验证了该方法的可行性.

关 键 词:m序列  优选对  GOLD序列发生器  VHDL  

Design and Implementation of The GOLD Sequence Generator Based on VHDL
JI Yong-gang,CHE Ren-xin,LI Chong.Design and Implementation of The GOLD Sequence Generator Based on VHDL[J].Journal of Dalian Railway Institute,2009(3).
Authors:JI Yong-gang  CHE Ren-xin  LI Chong
Institution:JI Yong-gang1,CHE Ren-xin2,LI Chong2(1.Technology Department,School of Information Engineering Institute of Dalian Jiaotong Universtity,Dalian 116052,China,2.School of Electrical & Information Engineering,Dalian Jiaotong University,Dalian 116028,China)
Abstract:In analysis of m sequence optimum pairs,a pair of optimum sequence is found by using limited region,and a balanced GOLD sequelence is constructed by using VHDL language,and flow chart and simulation waveform are obtained.The ideal waveform acquired with EP1C3T144C-8 chips verifies the feasibility of this design method.
Keywords:m sequence  optimum pairs  GOLD sequence generator  VHDL  
本文献已被 CNKI 等数据库收录!
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号