首页 | 本学科首页   官方微博 | 高级检索  
     检索      

基于CPLD的LED显示控制板
引用本文:童星,熊文龙.基于CPLD的LED显示控制板[J].武汉理工大学学报(交通科学与工程版),2007,31(1):144-147.
作者姓名:童星  熊文龙
作者单位:武汉理工大学计算机科学与技术学院,武汉,430063
基金项目:国家高技术研究发展计划(863计划)
摘    要:介绍LED点阵屏显示的机制和软件、硬件的设计实现.分析了LED点阵显示屏驱动机制,以及点阵显示的系统框架.系统硬件以CPU(89C51)和CPLD(EPM7128SLC8)为基础.89C51的主程序用于接受RS232串口数据、根据内码搜索汉字库、将点阵码输出等功能;EPM7128SLC8代替89C51的外围电路,实现点阵码移位和行扫描功能,减轻了CPU的处理任务,设计灵活、可靠,使刷屏率比普通的LED显示控制板明显提高.

关 键 词:复杂可编程逻辑电路  在系统编程  点阵
修稿时间:2006-10-03

Control Board of the LED Screen Based on CPLD
Tong Xing,Xiong Wenlong.Control Board of the LED Screen Based on CPLD[J].journal of wuhan university of technology(transportation science&engineering),2007,31(1):144-147.
Authors:Tong Xing  Xiong Wenlong
Institution:School of Computer Science and Technology, WUT, Wuhan 430063
Abstract:The principle of LED screen and the design of software and hardware are introduced in the paper.The frame of system is established based on the principle.The hardware of control board is made up of CPU(8 9C51) and CPLD(EPM71 28SLC8).The software in 89C51 receives Unicode through R S232 from PC,then searches Chinese-characters to gain the data for LED screen.The software in 89C51 outputs the data to LED screen continuously.EPM7128SLC8,that integrates the peripheral interface logic unit to the LED screen,implements the function of shifting data and row scanning.It lightens the tasks of 89C51.The new design is flexible and steady,and the new Control-board refreshes faster than the old mode.
Keywords:MAX7000S  VHDL
本文献已被 CNKI 维普 万方数据 等数据库收录!
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号