首页 | 本学科首页   官方微博 | 高级检索  
文章检索
  按 检索   检索词:      
出版年份:   被引次数:   他引次数: 提示:输入*表示无穷大
  收费全文   1篇
  免费   0篇
公路运输   1篇
  2010年   1篇
排序方式: 共有1条查询结果,搜索用时 0 毫秒
1
1.
FreeMarker是一个模板引擎,一个基于模板生成文本输出的通用工具,采用纯JAVA语言编写。本文简要介绍了FreeMarker的核心概念及其特性,通过页面信息提示插件的开发和在Web系统中的应用,直观的阐述了Struts2利用FreeMarker模板开发Web插件的过程和方法以及在Web系统中应用所带来的便利和优点。  相似文献   
1
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号